Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source PDF Download

Are you looking for read ebook online? Search for your book and save it on your Kindle device, PC, phones or tablets. Download Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source PDF full book. Access full book title Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source by . Download full books in PDF and EPUB format.

Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source

Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages :

Book Description
Abstract: To prevent moisture and oxygen permeation into flexible organic electronic devices formed on substrates, the deposition of an inorganic diffusion barrier material such as SiN x is important for thin film encapsulation. In this study, by a very high frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push–pull plasma source, SiN x layers were deposited with a gas mixture of NH3 /SiH4 with/without N2 and the characteristics of the plasma and the deposited SiN x film as the thin film barrier were investigated. Compared to a lower frequency (60 MHz) plasma, the VHF (162 MHz) multi-tile push–pull plasma showed a lower electron temperature, a higher vibrational temperature, and higher N2 dissociation for an N2 plasma. When a SiN x layer was deposited with a mixture of NH3 /SiH4 with N2 at a low temperature of 100 °C, a stoichiometric amorphous Si3 N4 layer with very low Si–H bonding could be deposited. The 300 nm thick SiN x film exhibited a low water vapor transmission rate of 1.18 × 10 −4 g (m 2 · d) −1, in addition to an optical transmittance of higher than 90%.

Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source

Characteristics of Silicon Nitride Deposited by VHF (162 MHz)-plasma Enhanced Chemical Vapor Deposition Using a Multi-tile Push–pull Plasma Source PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages :

Book Description
Abstract: To prevent moisture and oxygen permeation into flexible organic electronic devices formed on substrates, the deposition of an inorganic diffusion barrier material such as SiN x is important for thin film encapsulation. In this study, by a very high frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push–pull plasma source, SiN x layers were deposited with a gas mixture of NH3 /SiH4 with/without N2 and the characteristics of the plasma and the deposited SiN x film as the thin film barrier were investigated. Compared to a lower frequency (60 MHz) plasma, the VHF (162 MHz) multi-tile push–pull plasma showed a lower electron temperature, a higher vibrational temperature, and higher N2 dissociation for an N2 plasma. When a SiN x layer was deposited with a mixture of NH3 /SiH4 with N2 at a low temperature of 100 °C, a stoichiometric amorphous Si3 N4 layer with very low Si–H bonding could be deposited. The 300 nm thick SiN x film exhibited a low water vapor transmission rate of 1.18 × 10 −4 g (m 2 · d) −1, in addition to an optical transmittance of higher than 90%.

Memory Quality Silicon Nitride Deposited by Plasma-enhanced Chemical Vapor Deposition

Memory Quality Silicon Nitride Deposited by Plasma-enhanced Chemical Vapor Deposition PDF Author: Muhammad Abdul Khaliq
Publisher:
ISBN:
Category : Metal oxide semiconductors
Languages : en
Pages : 368

Book Description


Plasma-enhanced Chemical Vapor Deposition of Silicon Nitride from 1,1,3,3,5,5,-hexamethylcyclotrisilazane

Plasma-enhanced Chemical Vapor Deposition of Silicon Nitride from 1,1,3,3,5,5,-hexamethylcyclotrisilazane PDF Author: Todd Alan Brooks
Publisher:
ISBN:
Category :
Languages : en
Pages : 286

Book Description


Plasma Enhanced Chemical Vapor Depostion of Fluorinated Silicon Nitride

Plasma Enhanced Chemical Vapor Depostion of Fluorinated Silicon Nitride PDF Author: Rhett Eugene Livengood
Publisher:
ISBN:
Category :
Languages : en
Pages : 304

Book Description


Structural, Optical, and Mechanical Properties of Silicon Nitride Films Deposited by Inductively Coupled Plasma Enhanced Chemical Vapor Deposition

Structural, Optical, and Mechanical Properties of Silicon Nitride Films Deposited by Inductively Coupled Plasma Enhanced Chemical Vapor Deposition PDF Author: Ezgi Abacıoğlu
Publisher:
ISBN:
Category :
Languages : en
Pages : 0

Book Description


Synthesis and Characterization of Silicon Nitride Films Deposited by Plasma Enhanced Chemical Vapor Deposition Using Diethylsilane

Synthesis and Characterization of Silicon Nitride Films Deposited by Plasma Enhanced Chemical Vapor Deposition Using Diethylsilane PDF Author: Yanyao Yu
Publisher:
ISBN:
Category : Diethysilane
Languages : en
Pages : 116

Book Description


Silicon Nitride Based Coatings Grown by Reactive Magnetron Sputtering

Silicon Nitride Based Coatings Grown by Reactive Magnetron Sputtering PDF Author: Tuomas Hänninen
Publisher: Linköping University Electronic Press
ISBN: 9176853748
Category :
Languages : en
Pages : 73

Book Description
Silicon nitride and silicon nitride-based ceramics have several favorable material properties, such as high hardness and good wear resistance, which makes them important materials for the coating industry. This thesis focuses the synthesis of silicon nitride, silicon oxynitride, and silicon carbonitride thin films by reactive magnetron sputtering. The films were characterized based on their chemical composition, chemical bonding structure, and mechanical properties to link the growth conditions to the film properties. Silicon nitride films were synthesized by reactive high power impulse magnetron sputtering (HiPIMS) from a Si target in Ar/N2 atmospheres, whereas silicon oxynitride films were grown by using nitrous oxide as the reactive gas. Silicon carbonitride was synthesized by two different methods. The first method was using acetylene (C2H2) in addition to N2 in a Si HiPIMS process and the other was co-sputtering of Si and C, using HiPIMS for Si and direct current magnetron sputtering (DCMS) for graphite targets in an Ar/N2 atmosphere. Langmuir probe measurements were carried out for the silicon nitride and silicon oxynitride processes and positive ion mass spectrometry for the silicon nitride processes to gain further understanding on the plasma conditions during film growth. The target current and voltage waveforms of the reactive HiPIMS processes were evaluated. The main deposition parameter affecting the nitrogen concentration of silicon nitride films was found to be the nitrogen content in the plasma. Films with nitrogen contents of 50 at.% were deposited at N2/Ar flow ratios of 0.3 and above. These films showed Si-N as the dominating component in Si 2p X-ray photoelectron spectroscopy (XPS) core level spectra and Si–Si bonds were absent. The substrate temperature and target power were found to affect the nitrogen content to a lower extent. The residual stress and hardness of the films were found to increase with the film nitrogen content. Another factors influencing the coating stress were the process pressure, negative substrate bias, substrate temperature, and HiPIMS pulse energy. Silicon nitride coatings with good adhesion and low levels of compressive residual stress were grown by using a pressure of 600 mPa, a substrate temperature below 200 °C, pulse energies below 2.5 Ws, and negative bias voltages up to 100 V. The elemental composition of silicon oxynitride films was shown to depend on the target power settings as well as on the nitrous oxide flow rate. Silicon oxide-like films were synthesized under poisoned target surface conditions, whereas films deposited in the transition regime between poisoned and metallic conditions showed higher nitrogen concentrations. The nitrogen content of the films deposited in the transition region was controlled by the applied gas flow rate. The applied target power did not affect the nitrogen concentration in the transition regime, while the oxygen content increased at decreasing target powers. The chemical composition of the films was shown to range from silicon-rich to effectively stoichiometric silicon oxynitrides, where no Si–Si contributions were found in the XPS Si 2p core level spectra. The film optical properties, namely the refractive index and extinction coefficient, were shown to depend on the film chemical bonding, with the stoichiometric films displaying optical properties falling between those of silicon oxide and silicon nitride. The properties of silicon carbonitride films were greatly influenced by the synthesis method. The films deposited by HiPIMS using acetylene as the carbon source showed silicon nitride-like mechanical properties, such as a hardness of ~ 20 GPa and compressive residual stresses of 1.7 – 1.9 GPa, up to film carbon contents of 30 at.%. At larger film carbon contents the films had increasingly amorphous carbon-like properties, such as densities below 2 g/cm3 and hardnesses below 10 GPa. The films with more than 30 at.% carbon also showed columnar morphologies in cross-sectional scanning electron microscopy, whereas films with lower carbon content showed dense morphologies. Due to the use of acetylene the carbonitride films contained hydrogen, up to ~ 15 at.%. The co-sputtered silicon carbonitride films showed a layered SiNx/CNx structure. The hardness of these films increased with the film carbon content, reaching a maximum of 18 GPa at a film carbon content of 12 at.%. Comparatively hard and low stressed films were grown by co-sputtering using a C target power of 1200 W for a C content around 12 at.%, a negative substrate bias less than 100 V, and a substrate temperature up to 340 °C.

The Growth of Silicon Nitride Crystalline Films Using Microwave Plasma Enhanced Chemical Vapor Deposition

The Growth of Silicon Nitride Crystalline Films Using Microwave Plasma Enhanced Chemical Vapor Deposition PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages : 31

Book Description
Crystalline thin films of silicon nitride have been grown on a variety of substrates by microwave plasma-enhanced chemical vapor deposition using N2, O2, and CH4 gases at a temperature of 800 deg C. X-ray diffraction and Rutherford backscattering measurements indicate the deposits are stoichiometric silicon nitride with varying amounts of the alpha and beta phases. Scanning electron microscope imaging indicates beta-Si3N4 possesses six-fold symmetry with particles size in the submicron range. In one experiment, the silicon necessary for growth comes from the single crystal silicon substrate due to etching/sputtering by the nitrogen plasma. The dependence of the grain size on the methane concentration is investigated. In an another experiment, an organo- silicon source, methoxytrimethylsilane, is used to grow silicon nitride with controlled introduction of the silicon necessary for growth. Thin crystalline films are deposited at rates of 0.1 micrometer/hr as determined by profilometry. A growth mechanism for both cases is proposed.

Silicon Nitride for Microelectronic Applications

Silicon Nitride for Microelectronic Applications PDF Author: John T. Milek
Publisher: Springer Science & Business Media
ISBN: 1468461621
Category : Technology & Engineering
Languages : en
Pages : 126

Book Description
The large amount of literature on the technology of thin film silicon nitride indi cates the interest of the Department of Defense, NASA and the semiconductor industry in the development and full utilization of the material. This survey is concerned only with the thin film characteristics and properties of silicon nitride as currently utilized by the semiconductor or microelectronics industry. It also includes the various methods of preparation. Applications in microelectronic devices and circuits are to be provided in Part 2 of the survey. Some bulk silicon nitride property data is included for basic reference and comparison purposes. The survey specifically excludes references and information not within the public domain. ACKNOWLEDGEMENT This survey was generated under U.S. Air Force Contract F33615-70-C-1348, with Mr. B.R. Emrich (MAAM) Air Force Materials Laboratory, Wright-Patterson Air Force Base, Ohio acting as Project Engineer. The author would like to acknowledge the assis tance of Dr. Judd Q. Bartling, Litton Systems, Inc., Guidance and Control Systems Division, Woodland Hills, California and Dr. Thomas C. Hall, Hughes Aircraft Company, Culver City, California in reviewing the survey. v CONTENTS Preface. i Introduction 1 Literature Review. 1 Bulk Characteristics 1 Technology Overview. 2 References 4 Methods of Preparation • 5 Introduction • 5 Direct Nitridation Method 8 Evaporation Method • 9 Glow Discharge Method. 10 Ion Beam Method. 13 Sputtering Methods 13 Pyrolytic Methods. 15 Silane and Ammonia Reaction 15 Silicon Tetrachloride and Tetrafluoride Reaction. 24 Silane and Hydrazine Reaction 27 Production Operations. 28 Equipment.

Deposition of Fluorinated Silicon Nitride Using Plasma Enhanced Chemical Vapor Deposition

Deposition of Fluorinated Silicon Nitride Using Plasma Enhanced Chemical Vapor Deposition PDF Author: Mohammad Ibrahim Khan
Publisher:
ISBN:
Category : Plasma-enhanced chemical vapor deposition
Languages : en
Pages : 334

Book Description